anjely
Messages postés3Date d'inscriptionmercredi 23 janvier 2008StatutMembreDernière intervention 1 août 2008
-
31 juil. 2008 à 11:25
anjely
Messages postés3Date d'inscriptionmercredi 23 janvier 2008StatutMembreDernière intervention 1 août 2008
-
1 août 2008 à 11:24
Bonjour!
je dois faire un programme en Vhdl,
ce programme consiste à sélectionner: - une entrée1 pour avoir une fréquence de rapport cyclique de 10°% .
Merci de votre aide.
Sinon, connaissant un peu ce langage, je pense que c'est faisable, mais ce serait trop facile, mais allez juste pour le fun, une piste :
Un compteur 0-9 et à 0, tu met à 1 (à condition d'avoir une fréquence 10* celle que tu veux sortir).
anjely
Messages postés3Date d'inscriptionmercredi 23 janvier 2008StatutMembreDernière intervention 1 août 2008 31 juil. 2008 à 14:40
merci Henry! je l'ai déjà fait comme ceci, mais je ne sais pas si ça va marcher
entity pwm is
Port ( i_clock : in STD_LOGIC;
i_reset : in STD_LOGIC;
i_Ce : in std_logic;
out_pwm: out std_logic);
end pwm;
architecture Behavioral of pwm is
---declaration des signaux---
--signal pour pwm--
signal sig_pwm_out: std_logic;
signal sig_pwm: std_logic_vector(3 downto 0);
begin
----------------------------------------------
pwm:process(i_clock, i_reset)
begin
if i_reset='1' then
sig_pwm <= (others=>'0');
elsif (i_clock'event and i_clock = '1') then
if i_Ce_1k='1' then
if sig_pwm = 10 then --voir 9
sig_pwm<= (others=>'0');
sig_pwm_out<='1';
else
if sig_pwm=1 then
sig_pwm_out<='0';
end if;
sig_pwm<=sig_pwm+1;
end if;
end if;
end if;
end process;
out_pwm<=sig_pwm_out;