Signal carré

cs_hitman12 Messages postés 2 Date d'inscription dimanche 13 mars 2005 Statut Membre Dernière intervention 15 juin 2006 - 15 juin 2006 à 09:56
_dune2_ Messages postés 141 Date d'inscription mercredi 19 juillet 2006 Statut Membre Dernière intervention 20 avril 2011 - 29 juil. 2006 à 00:32
Salut !!

j'aimerais générer un signal carré sur un des ports de mon micro 8051. J'aurais besoin d'avoir deux variable pour varier le rapport cyclique et la fréquence. Si vous auriez un exemple ou un organigramme se serait cool !

merci

1 réponse

_dune2_ Messages postés 141 Date d'inscription mercredi 19 juillet 2006 Statut Membre Dernière intervention 20 avril 2011
29 juil. 2006 à 00:32
salut,

La solution la plus simple semble la suivante (en pseudo assembler) :

variable cycles_haut XX ; nombre de cycle d'horloge niveau haut
variable cycles_bas YY  ; nombre de cycle d'horloge niveau bas
                                        ; la somme cycle_haut+cycle_bas donne la periode du signal

boucle_periode:
    sortie=1;
    compteur = cycle_haut;
boucle_haut:
    decrement compteur;
    jump si != 0 boucle_haut;
    sortie=0;
    compteur = cycle_bas;
boucle_bas:
    decrement compteur;

    jump si != 0 boucle_bas;
    jump boucle_periode;

dune2.

Gentoo... que du bonheur ...
0
Rejoignez-nous