GENÉRATION D'UN CODE VHDL (FILTRE NUMÉRIQUE)

broumbroum Messages postés 39 Date d'inscription jeudi 17 août 2006 Statut Membre Dernière intervention 10 septembre 2007 - 10 sept. 2007 à 10:22
doudix5 Messages postés 1 Date d'inscription vendredi 23 mars 2007 Statut Membre Dernière intervention 8 avril 2010 - 8 avril 2010 à 01:30
Cette discussion concerne un article du site. Pour la consulter dans son contexte d'origine, cliquez sur le lien ci-dessous.

https://codes-sources.commentcamarche.net/source/43988-generation-d-un-code-vhdl-filtre-numerique

doudix5 Messages postés 1 Date d'inscription vendredi 23 mars 2007 Statut Membre Dernière intervention 8 avril 2010
8 avril 2010 à 01:30
je veux faire un additionneur N bits

je veux entrer le nombre de bit N apartir d'une interface java

est ce possible et merci ?

peut on faire le synthése d'un fichier .vhd en dehors de xilinx et merci une autre fois
keversgabriel Messages postés 4 Date d'inscription vendredi 16 janvier 2004 Statut Membre Dernière intervention 1 avril 2010
1 avril 2010 à 00:29
Salut,
Pour une détection de contour en traitement d'image, utilise un filtre de type Sobel. Pour se faire tu pourrais un kernel de 3x3 avec les coefficients suivant :
1 2 1
0 0 0 pour une détection verticale
-1 -2 -1
Et
1 0 -1
2 0 -2 pour une détection horizontale
1 0 -1
P1 P2 P3 donc P1 PixelSource (-1,-1) P2 PixelSource (-1,0) P3 = PixelSource (-1,1) P4 P5 P6 P4 PixelSource ( 0,-1) P5 PixelSource ( 0,0) P6 = PixelSource ( 0,1) P7 P8 P9 P7 PixelSource (-1,-1) P8 PixelSource (-1,0) P9 = PixelSource (-1,1)

boucle en X et en Y l’image

Ceci devrait t’aider pour démarrer: PixelDeDestination (x,y) = (P1+(P2<<1)+P3-P7-(P8<<1)-P9)+(P3+(P6<<1)+P9-P1-(P4<<1)-P7)
Ect…

Gabriel KEVERS

Att : commence a la ligne 2 et la colonne 2 de l’image source
hfourati Messages postés 7 Date d'inscription samedi 14 avril 2007 Statut Membre Dernière intervention 28 mars 2010
28 mars 2010 à 22:18
slt à tous,

Ce projet est dédé pour les gens qui sont interessé par le VHDL.

s'il y a quelqu'un qui a des problèmes à mettre en place un code vhdl d'un filtre numérique il pourra utilisé ce logiciel.

merci
romainmartinenghi Messages postés 1 Date d'inscription samedi 27 mars 2010 Statut Membre Dernière intervention 27 mars 2010
27 mars 2010 à 10:20
salut

En décompressant le fichier pas un seul .vhdl ou quoi que se soit,
Comment compile tu ton prog pour obtenir un code VHDL ?

merci
a+
cs_midani Messages postés 3 Date d'inscription samedi 27 décembre 2008 Statut Membre Dernière intervention 29 novembre 2009
29 nov. 2009 à 12:55
mais j ai pas trouvé le code lol comment faire??
wolff1331 Messages postés 1 Date d'inscription jeudi 19 novembre 2009 Statut Membre Dernière intervention 19 novembre 2009
19 nov. 2009 à 15:16
salut je suis élève ingénieur et mon projet de fin d'études consiste à implémenter une partie d'un code (en C++) de détection de contour sur un fpga (la partie la plus gourmande en temps).
je ne suis pas du tout spécialiste du traitement d'images et je vous sollicite dans le but d'obtenir une aide quelconque sur ce sujet
merci
hfourati Messages postés 7 Date d'inscription samedi 14 avril 2007 Statut Membre Dernière intervention 28 mars 2010
11 juin 2009 à 12:18
voici ci dessous le type d'écriture du fichier qui contient les coefficients:

exemple:

H1:

Numerator1:
coefficients1:

-0.03125
0
0.023438
0
.....

Denominator1:
coefficients1:

-0.03125
0
0.023438
....

end H1

end file
hfourati Messages postés 7 Date d'inscription samedi 14 avril 2007 Statut Membre Dernière intervention 28 mars 2010
11 sept. 2007 à 22:37
c'est un langage de programmation matérièle
Bel0 Messages postés 71 Date d'inscription mercredi 14 avril 2004 Statut Membre Dernière intervention 14 septembre 2007
11 sept. 2007 à 16:53
C'est le langage utilisé pour programmer des PIC ou des FPGA (minicpu embarqué si tu veux).
broumbroum Messages postés 39 Date d'inscription jeudi 17 août 2006 Statut Membre Dernière intervention 10 septembre 2007
10 sept. 2007 à 10:22
hey pourrait-on avoir plus de renseignements sur le fonctionnement du VHDL ? :)
Rejoignez-nous